LKIMM
Published

Information Is Power

It’s the power to run your plant and machines better and more profitably. Today’s process and production monitoring systems put that information in the palm of your hand.

Share

After two decades on the market, real-time supervisory systems for monitoring plant-wide operations have penetrated only 15% to 25% of U.S. injection molding facilities. These systems have the dual function of reporting production data for business managers and watch- dogging process parameters to help technicians diagnose and optimize machine operations. Given their potential to help molders improve quality and productivity, why haven’t they caught on? “Monitoring systems do not directly generate revenues, so a company may view it as an auxiliary item,” concedes Conley Sandberg, president of FullMetrics, a supplier of monitoring systems.

“Earlier systems had a long learning curve to understand what they are telling you about your process and how to make adjustments to improve it,” adds Susan Montgomery, president of Priamus, another system vendor.

But eight suppliers of monitoring systems, and several injection machine builders that offer similar capabilities, all say recent hardware and software developments make these systems worth a second look.

 

Lots of upgrades

Some of the improvements come from suppliers’ migration to commercial operating systems like Microsoft Windows, which bring a familiar office computing environment to the shop floor. These “open” systems allow the collected data to be handled more easily by corporate enterprise resource planning (ERP) and manufacturing execution systems (MES).

Suppliers are also adapting internet, wireless, and LAN communication technologies to pull data from the shop floor to remote locations or onto portable devices such as laptops, PDAs, tablet PCs, and cell phones. “With wireless connectivity a plant supervisor can walk down the aisle and pick up manufacturing parameters for each machine. In this way, he can tell if a machine is running at 80% efficiency or at 10% and then he knows where to focus his attention,” says Dave Dion, v.p. of sales at Production Process, a monitoring system vendor.

For process monitoring, some suppliers have developed simpler plug-and-play instrumentation that automatically calibrates itself and communicates with the control technology. At least two firms praise new digital sensors that bring built-in intelligence, ease of use, and faster response than older analog devices. According to Matt Groleau, president of RJG, Inc., “the digital sensor has greatly improved and simplified the use of these systems on the shop floor.” 

Press-side data-acquisition units have been made more user-friendly. Some sport a touchscreen interface or a PC operating system for easy data access. Also, a greater number of these devices now display both process and production data on the same hardware.

Suppliers have rolled out a variety of entry-level, portable, or modular building-block systems that make monitoring affordable to smaller molders. One system offers a portable press-side data collector for $350 and network-capable software for $2500. Prices for more elaborate, permanently mounted modular systems can still top $100,000 for a moderate-size plant.

Suppliers are upgrading their monitoring software to provide a broader “window” on plant activities—monitoring not just molding machines but also upstream materials-handling auxiliaries and downstream secondary operations. “Our systems do a lot more than before. They do the documentation, they do the set-up recipes, they keep historical information on run time and downtime and reasons for scrap,” says Dave Monroe, Mattec’s v.p. of marketing.

“Today it is not enough to help molders make a good part. Now the monitoring systems have to make sure the part goes to the right destination, with the correct information on it, and that it is shipping to the correct location,” says Mike Barker, v.p. of the Data Collection and Bar Code Systems Div. of Hunkar Laboratories.

“The bottom line is these systems can help you track down the source of problems in your process by taking measurements and then correlating part quality to your process,” says FullMetrics’ Sandberg.

“The real-time display provides ease of communication around the shop floor,” says Dion of Production Process. “We can calculate the number of parts or cycles to go and the hours left before completion of the job. Our system can display a message to a mold technician telling in advance when the next job needs to be staged. He can plan his day accordingly.”

Automatic production summaries from the machine monitoring system eliminate the need for separate inventory reporting activities. Instead of collecting production totals from each machine at day’s end and “crunching” those numbers into reports, these activities now occur automatically and in real time throughout the day.

Vendors point out that if a monitoring system helps a molder get 5% more productivity out of 20 machines, that’s like getting a whole extra machine for the cost of the monitoring system. “We have seen custom molders save up to 20% to 40% of the cost associated with scrap, rework, and delays,” says Peter Rucinski, director of product marketing at Moldflow.

What’s more, molders who want access to markets like automotive and medical devices must be able to provide the historical quality documentation—“proof of process”—that monitoring systems can generate. Molders can even use the process validation provided by their monitoring systems to woo new clients.

 

New entry-level designs

Mattec recently developed a low-cost production monitoring system that provides real-time statistics on cycle time, downtime, and scrap for up to 64 machines. The-Man-A-ger system is priced at $9500. It can be upgraded to Mattec’s higher-end ProHelp line.

Syscon-PlantStar introduced last year its Quickshot scheduling and production monitoring software. It is designed to be a simple entry-level system that processors can install themselves. The preconfigured system comes in packages suited to 12, 24, 36, or 48 machines. They include production scheduling, cycle counting at each machine, and provisions to record 10 scrap codes, 10 downtime types, and 10 assist calls. A system for 48 machines costs $30,000 complete.

RJG rolled out iLink as a simple, low-cost production monitoring system. It monitors run time, downtime, parts made, parts left to finish the run, scrap rates, and other standard items. iLink displays data for the current shift, the last shift, and whole production runs.

Production Process came out with a modular approach to its ProductionACE plant-wide production monitoring system that lets you start with one machine and add additional monitoring stations as desired, linking the stations into a “daisy chain” network. Several economical press-side Machine Data Transducer (MDT) models are available. They provide up to 40 downtime and 20 reject categories. One MDT unit is required at each station at a cost starting at $350 for the hardware, plus $2500 for starting software.

 

News in process monitoring

In the last year or so, Hunkar added the Smart data-analysis and reporting system to its Source factory monitoring system. Smart expands Source into a custom-configured set-up that can collect data from machines, auxiliary equipment, environmental controls, and up- and downstream operations. It features a new Smart 1000 touchscreen interface for the press-side data unit. The new touchscreen also comes with Hunkar’s Portable Machine Analyzer (PMA). Enclosed in its own case, the PMA monitors up to 35 process parameters and stores the last 1000 cycles of data.

Hunkar also expanded its Smart Beeper system, an automated alarm messaging system. Designed initially just for paging devices, the system can now send any user-selected alarm to a cell phone, PDA, or even e-mail. It can send messages to an unlimited number of communication devices with different alarm signals sent to selected groups of recipients.

Hunkar offers new “instrument grade” pressure sensors with greater accuracy. Barker says the new sensor is accurate to ±0.7 psi vs. ±7 psi for typical units.

The FullMetrics Machine Analyzer, a portable process monitor and analysis tool used for process optimization, troubleshooting, and process-capability studies, is now based on Windows XP with 32-bit programming. New built-in SPC charting functions provide multiple real-time charts and zoom and tracking functions. Upcoming developments include remote internet monitoring and additional automated responses such as e-mail or audio alerts.

Priamus, a three-year old firm that supplies process monitoring and control based on cavity-pressure and temperature sensing, offers the Priamus Monitor system, which has been enhanced to display all measured signals in real time, not just at the end of the machine cycle.

Collecting machine signals from multiple machines is said to be easier with the new Priamus Analog Data Interface (PADI). The simple interface uses a connector that reportedly adapts to any machine and provides signals to PADI in a standardized format.

Priamus also upgraded its Tool Box mobile single-press monitoring system to handle additional process values (up to 16 channels). Tool Box software has been upgraded to display all measured values of signals at the moment of switchover from filling to holding pressure. Timing variations—such as injection time, hold-pressure time, or plasticating time—are displayed in another screen.The software includes a Mold Setup module, a Capability Inspector module that checks machine and process capability, a Stability Inspector that determines machine performance over time, and an Energy Inspector that analyzes power-consumption. The portable unit can be plugged into a laptop through a USB port so that trend reports, CpK analyses, and machine and process capability reports can be run.Priamus introduced a new mini cavity temperature sensor that’s 1 mm in diam. and 12.5 mm long. It can be connected directly to a flexible cable without a receptacle sleeve and steel conduit. The small sensor is said to result in faster signal response.

Last June, Priamus also introduced a new modular signal-conditioning device called Universal Signal Conditioning Multi-DAQ that is said to provide more accurate processing of temperature, pressure, or voltage signals from machine or mold sensors. The unit handles up to 16 channels and is expandable to 64. It can be connected to the company’s Smart system or PMA for monitoring. and can also provide a control signal for process switchover from filling to holding phases.

Priamus also introduced new Priased piezoelectric sensors with built-in hardware code that gives the sensors more plug-and-play capability. It allows the control or monitoring unit to automatically identify the sensor type in order to determine the best signal-conditioning range for optimum resolution.

 

Production monitoring

Last year, Hunkar came out with its first system just for production monitoring. The Hunkar Production Server (HPS) can collect data not only from presses but also from barcode readers, label printers, and machine-vision cameras. That information can be transmitted via a wireless LAN connection to a central data hub. The system uses Hunkar’s Intermec Smart Printer line and software. The system can print labels on the factory floor, use a barcode scanner to validate job and product data, assign carton IDs, produce pallet labels, track machine downtime, and report productivity and scrap data in real time. The system can store enough data to keep running if the LAN or server goes down temporarily. It holds those transactions until the server becomes available, then automatically updates the database.

Smart printers can connect to other devices such as scales and conveyors. The system can also capture images, which can be saved in the database, associated with the job data (via the barcode), and printed, displayed, e-mailed, or archived. RFID (radio-frequency identification) tags will be one of the next technologies to be integrated into the system.

Syscon-Plant Star rolled out a Family Tool Tracking module for use with its entry-level Portrait production and pro cess monitoring system and its ad vanced Panorama system for larger plants. For each cavity of a family tool, the new module provides real-time data on number of good parts produced, pieces to go, rejects, scrap, material re­quirements, and SPC statistics. It can forecast material requirements and inventory, generate documentation for quality traceability, and create packaging and labeling instructions.

Production Process updated the preventive maintenance program on its ProductionACE system, permitting maintenance schedules to be configured based on actual production hours or cycles run rather than fixed time intervals. The company is also developing a series of optional production trend reports. The reports will supply graphical views of trends in set-ups, production, rejects, and downtime.

 

All-in-one systems

Ease of data entry, enhanced SPC capabilities, and improved documentation management are enhancements in Mattec’s version 6.1 ProHelp EPM process and production monitoring system. The system now runs on Windows 2000 server or SQL Server 2000 platforms for greater ease of use. New operator-interface features include pop-up windows that help users find a desired control chart, and simple mouse clicks help them move quickly through set-up and monitoring changes. The prior version required working through a complex series of menus to find a de sired control chart.

A quality correlation program also has been added to Mattec’s ProStat SPC module. It automatically associates process measurements with user-designated part-quality attributes such as weight or dimensions. The system can alert operators when conditions begin to trend be yond control limits. Problems can be detected and corrected with little or no loss of material or machine uptime. Multiple job runs can be compared for process-capability studies and continuous improvement of operations. Part conveyors can be programmed to di vert suspect parts.

Also new is a voice response system that can page or e-mail personnel when a process goes down. It can be linked to the plant public address system.

ProHelp EPM Version 6.1 also features a new Document Control Center that allows users to view machine set-up sheets and quality documentation via the internet. The system also can import data from most MRP and ERP systems.

The new version contains software and hardware developments introduced at the NPE show in Chicago last year, including an advanced scheduling module that permits “what-if” scenarios and flags schedule conflicts caused by changing shop-floor conditions. The scheduler now tracks production from family molds and can determine imbalances in numbers of parts from different cavities.

New direct and indirect labor tracking functions were added, as was wireless communication of system data between the shop floor and a cell phone or PDA.

Moldflow Manufacturing Solutions (MMS) version 1.0 is a process and production monitoring system introduced less than a year ago, following Moldflow’s purchase of the Shotscope technology from Branden Technologies and the CPI 2000 system from CPI of France. The MMS system merges the process monitoring from Shotscope with the extensive production monitoring functions from CPI.

MMS 1.0 is a full-featured system that helps users measure production constraints, identify production delays, assess ma chine capacity, and get real-time part counts and reject rates at a glance. It brings more connectivity of downstream devices such as printers, labelers, welders, or barcode readers. Also new are customizable reports, a label-printing program, online help and instruction programs, and optional interfaces to ERP systems. MMS 1.0 can manage work orders, schedule production, and track mold and machine maintenance. It now also handles family molds.

All process and production data are available from a single touchscreen. Manual secondary operations can be recorded by the system when the operator taps a button to signal a completed work cycle.

The system allows customers to start with simple cycle counting and then add on more advanced modules for part tracking, defect tracking, process monitoring, and SPC or SQC.

Moldflow will roll out version 2.0 this month. It will run on Windows XP and will include new capabilities for process monitoring of multi-barrel injection machines. MMS 2.0 also has enhanced labor tracking that can account for several persons assigned to a single machine or cell. Previously, the software only tracked one operator per station, but now it can handle a manufacturing cell with a machine operator, parts handler, and multiple operators of downstream assembly or decorating devices.

RJG is launching new capabilities for its Insight System for process and production monitoring. Insight is a combination of the firm’s eDART process/production monitor and newer iLink production monitoring system. It uses RJG’s new Lynx digital sensors, which eliminate the need for signal conditioning, making set-up and calibration as simple as “plug and play.” The sensors also can be programmed to know what mold or machine they are attached to and when they need to be calibrated. Additionally, the digital technology allows multiple sensors to be networked with a single cable connected to the eDART. This month, RJG is coming out with a new piezoelectric sensor line.RJG’s new InsightStats statistical software creates X Bar & R histogram charts and scatter plots for correlation analysis. Included with the program is an Alarm Assistant that correlates part measurements such as weights and dimensions with process variables. It can be used to set process alarms.

For medical molders seeking to document their processes, RJG is working with the FDA to obtain approval of the eDART system as a tool for process validation.

New version 3.7 manufacturing data collection system from Factory Intelligence Network (FIN) operates on a standard Windows-based PC and obtains data directly from the machine’s control system. It collects temperature, pressure, weight, and other process data. It includes new “drag-and-drop” editing tools and creates reports in Microsoft Excel spreadsheets.

The FIN system comes preconfigured to communicate with the user’s plant equipment, so the hardware and software reportedly can be installed in minutes.

The Production & Process Management System (PPMS) from FullMetrics has moved to Windows 2000 Server and SQL 2000 Server platforms, offering greater flexibility and accessibility for data integration. New trend alarms help the user identify process problems before they create bad parts.

The company also developed a data-interchange module that allows automated data transfer between the FullMetrics system and other plant computer systems. Typical uses are moving production schedules into the FullMetrics system and sending FullMetrics production data back to the plant scheduler.

Other enhancements in the pipeline include an improved data-management module for archiving, purging, and backing up system data, as well as additional notifications via e-mail or audio. Cost of the monitor, sensors, and software is in the range of $80,000 to $100,000 for an eight- to 10-machine system. FullMetrics also offers a production-only system at much lower cost that can be built up to a full process/production monitoring system.

 

OEMs weigh in

Many injection machine builders offer some degree of process and production monitoring on their machine controls. A number of them also can network the machine controls to a central PC for remote monitoring.

For example, Engel showed a prototype of its Engel Monitoring System (EMS) for production and process data at the NPE show in 2000. EMS is designed only for Engel presses and provides a means for users to centralize process and production data from old and new machines in a single standardized format. It gives insight as to where to seek process or production improvements or where preventive maintenance is needed, says Joachim Kragl, manager of processing technology. EMS can archive a larger volume of historical data than can be kept in the molding machine’s control. The system runs in Windows NT and can link up to 100 presses via Ethernet.

Demag Plastics Group offers the option of embedding a Syscon-PlantStar computer in its control cabinet, which takes data from Demag’s latest Pathfinder 6000 machine controller and displays PlantStar screens on the Pathfinder operator panel. The embedded PlantStar computer can store 18 shifts worth of data on 50 variables and can act as a web server to make machine data readable over the internet via wired or wireless connection. According to PlantStar, what’s most significant about this development is that the PlantStar computer “talks” to the Pathfinder control via the OPC industrial automation standard protocol, which any machine OEM can use in place of expensive proprietary host interfaces.

At NPE 2003, Milacron launched Mold 24i software for remote data collection and process analysis from up to 128 Roboshot all-electric presses. The software runs on a Windows 2000, NT, or XP server and connects to the Roboshot controls through a high-speed Ethernet LAN. Programs include Plant Layout, which gives a one-glance check on the status of all machines and their cycle times, job numbers, percentage job completion, and estimated completion times. A mold/process monitoring screen gives detailed analysis of each machine cycle, displaying times, pressures, temperatures, and positions. Molding data can be collected per shift, day, or mold run and can be displayed as a data table, histogram, or trend chart. In addition, the Quality Radar analyzes the mold/process monitoring data to identify the machine settings with the greatest influence on process repeatability.

Nissei offers its NC-NET monitoring system in a PQ version for quality and production control and PM version just for production control. It can monitor up to 30 presses. The system can link different types of machine controllers to one PC for data collection while another PC analyzes the collected data. An adapter is installed inside the control panel of each molding machine to establish the link.Mitsubishi (represented here by MHI Injection Molding Machinery) displayed at NPE 2003 a suite of programs for a concept called the Universal Network System. A molding machine with the new MACVIII controller can connect through various network devices to a PC. Windows-based WebMAC software displays machine setpoints and process and production monitoring screens via a web browser. “Moldnavi” software uses process data to judge good shots from bad and provide a graphical explanation of the causes of bad shots. Other programs permit remote changes of machine setpoints and perform flow simulations based on a machine’s operating characteristics.

Upgraded storage capacity in the central PC marks the latest development in production monitoring from Negri Bossi. Up to 50 press controllers can be wirelessly connected to the central PC, which runs software that comes standard with the machines. The wireless PC connection can also be used to download mold set-up data and to allow remote troubleshooting by a Negri Bossi technician.

pipe and profile extrusion chemical foaming agents
Trust the experts for fast & efficient changeovers
quick mold change solutions injection molders
Cranes, Conveyors, Racks, Loaders, Accessories
Processing additives for Plastics recycling stream
Gardner Business Media, Inc.
NPE2024: The Plastics Show
New Tinius Olsen VectorExtensometer testing
Go Beyond Blending
New 2024 Twin Screw Report
Plastics Recycling Latam
extrusion lines for encapsulant film for solar
Vacuum Tech for Plastics Manufacturers
Orbetron new for 2024 micro twin screw feeder
Bole Machinery
We Love Powders NPE

Related Content

Testing

Robust Rotational Viscometer

Versatile viscometer line said to offer robust measurement, repeatability and reporting.  

Read More
Testing

How to Improve Quality with Offline Inspection and Analysis

Automated sample testing with a light table detects the smallest contamination in flakes, micro granulates and sample test sheets.

Read More
Testing

Measuring Technology Specialist Pixargus Acquired by CiTex Group

A global player in optical inline quality control, Pixargus is intensifying presence in U.S. & Asia under CiTex umbrella.  

Read More
Testing

Tracing the History of Polymeric Materials -- Part 30: Polyurethane

In the world of polymers, polyurethane chemistry is probably the most versatile. This a resulted in a wide range of products made from these materials and given the industry the flexibility to respond to the progressive march of regulatory concerns.  

Read More

Read Next

Extrusion Know How

How Polymer Melts in Single-Screw Extruders

Understanding how polymer melts in a single-screw extruder could help you optimize your screw design to eliminate defect-causing solid polymer fragments.  

Read More
Extrusion

Understanding Melting in Single-Screw Extruders

You can better visualize the melting process by “flipping” the observation point so that the barrel appears to be turning clockwise around a stationary screw.

Read More
Automation

People 4.0 – How to Get Buy-In from Your Staff for Industry 4.0 Systems

Implementing a production monitoring system as the foundation of a ‘smart factory’ is about integrating people with new technology as much as it is about integrating machines and computers. Here are tips from a company that has gone through the process.

Read More
Vacuum Tech Guide for Plastics Processing